بالشرح الوثائقي

تسجيلات مودبوس

هذه السجلات متاحة لـ Modbus RTU و Modbus TCP. يدعم cFos Power Brain Controller وظائف Modbus

  • 03 قراءة سجلات عقد متعددة
  • 06 كتابة سجل واحد
  • 16 كتابة سجلات عقد متعددة

نميز بين أربعة أجهزة:
2 متر S0 ومحطة شحن واحدة ومقياس واحد متصل (Modbus) ، والتي يتم تمييزها بواسطة معرف Modbus التابع و (لـ Modbus TCP) منفذ TCP.

ملاحظة: يؤدي الوصول إلى سجلات Modbus إلى الكتابة إلى ذاكرة الفلاش الخاصة بـ cFos Power Brain، وبالتالي لا يجب أن تتم بشكل منتظم. لا يتم حفظ السجلات التالية بشكل دائم وبالتالي يمكن تغييرها بانتظام: Charging_cur_limit، Fixed_current، Charging_enable، Disconnect_cp، Relay_select، Relay2. تتم كتابة جميع السجلات الأخرى لتضيء عند تغييرها، لذا يجب أن يكون عدد عمليات الكتابة محدودًا!

معرفات الرقيق الافتراضية

EVSEرقم الرقيق 1منفذ TCP 4701
S0 متر 1معرف العبد 2منفذ TCP 4702
S0 متر 2معرف العبد 3منفذ TCP 4703
عداد مثبتمعرف الرقيق 1منفذ TCP 4701 (عبر Wallbox Register)

يتم تطبيق السجلات التالية اعتمادًا على الجهاز المتضمن وما إذا كان جهاز قياس متصلًا بالحائط أم لا ، على سبيل المثال:

التسجيلات (r = للقراءة فقط ، w = الكتابة فقط ، rw = القراءة/الكتابة)

سجلات S0 Meters و EVSE

الإسمعنوانالسجلاتوصف
vendor_id80002 rمعرف البائع الفريد ، 0xcf05
product_id80021 rمعرف المنتج ، 1
device_id80031 rمعرف الجهاز داخل المنتج ، 0x100
product_version80042 rإصدار المنتج ، رئيسي
product_build80051 rرقم بنية المنتج
mapping_version80061 rإصدار main.minor لتعيين السجل هذا
padding_180071 rمحجوز
serial_no80088 rسلسلة الرقم التسلسلي
name801616 rاسم المنتج ، " cFos Power Brain Controller "
slave_id80401 rwمعرف الرقيق Modbus

سجلات لمتر S0

الإسمعنوانالسجلاتوصف
input80411 rمحجوز
pulsesperkwh80422 rwنبضات لكل كيلوواط ساعة للإدخال S0
phases80441 rwقناع بت لمراحل محاكية ، بت 0 = L1 ، بت 1 = L2 ، بت 2 = L3
voltage_l180451 rwالجهد الاسمي L1 [V]
voltage_l280461 rwالجهد الاسمي L2 [V]
voltage_l380471 rwالجهد الاسمي L3 [V]
pulses80504 rwالعدد المطلق للنبضات على مدخلات S0
timeperpulse80542 rالوقت بين آخر نبضتين على إدخال S0 [مللي ثانية]
level80561 rمستوى الإدخال الحالي لمدخل S0
0 = التدفقات الحالية ، 1 = التيار لا يتدفق
meter_flags80571 rالبتة 0: 1 = لها مراحل فردية
energy80584 rwاستيراد نشط [Wh]
power80622 rالقوة النشطة [W]
current_l180642 rالتيار الحالي L1 [0.1 A]
current_l280662 rالحالي الحالي L2 [0.1 A]
current_l380682 rالحالي الحالي L3 [0.1 أ]
reset_pulses80701 wإعادة ضبط عداد النبض [1]
reset_energy80711 wإعادة ضبط الطاقة [1]

التسجيل للعدادات المثبتة

تتوفر السجلات 8057 إلى 8068 (انظر عداد S0)

سجلات EVSE

القيم الافتراضية بعد إعادة التشغيل:

الإسمعنوانالسجلاتوصف
def_fixed_current80801 rwالقيمة الافتراضية cable_current [0.1 A] (*)
def_charg_cur_limit80811 rwحد تيار الشحن الافتراضي [0.1 أ] 6 - 63 (*)
def_charging_enable80821 rwتمكين الافتراضي الشحن [0/1] (*)
(*) سيتم تعيين هذه القيمة وفقًا لذلك بعد إعادة التشغيل.
fail_safe_duration80832 rwعدد الثواني دون قراءة/كتابة قبل charging_cur_limit و charging_enable تتم استعادة. القيمة الافتراضية 300 (5 دقائق)
fail_safe_current80851 rwالطاقة عندما تكون غير نشطة ، 0 = إلغاء تنشيط الشحن
disconnect_cp80861 rwاكتب: عدد الثواني لإيقاف تشغيل CP ، قراءة: الثواني المتبقية
relay_select80871 rwاكتب: 0 = موصل ثلاثي الطور ، 1 = موصل أحادي الطور ، لصناديق الحائط التي تدعم هذا (على الأقل مراجعة وحدة التحكم. F)
relay280881 rwاكتب: 0= إيقاف تشغيل المرحل 2، 1= تشغيل المرحل 2 (فقط في حالة إيقاف تشغيل المرحل 1)، بالنسبة لصناديق الحائط التي تدعم هذا (على الأقل وحدة التحكم Rev. F)
cable_current80901 rPP: أقصى تيار للكابل [0.1 A] ، 0: لا يوجد كابل
fixed_current80911 rwالكتابة فوق cable_current [0.1 A]
charge_pilot_state80921 rCP: 0 = أ (انتظر)،
1 = ب (تم اكتشاف مركبة)،
2 = ج (الحمل)،
3 = D (التحميل مع التهوية)،
4 = ه (لا قوة)،
5 = F (خطأ)، 9 = خطأ في مستشعر التيار المستمر. جميع القيم الأخرى: خطأ
charging_cur_limit80931 rwحد تيار الشحن [0.1 أ] 6 - 63 أمبير ، قد يكون محدودًا بسبب قيود الطراز (على سبيل المثال ، بالنسبة لـ cFos Power Brain Wallbox 11kW ، يقتصر على 16A)
charging_enable80941 rwالشحن عن طريق تمكين [0/تمكن 1] 0 = تعطيل، 1 =
charging_current80951 rتيار الشحن [0.1 أ] 6-63
last_rfid809615 rآخر ظهور rfid (سلسلة سداسية عشرية)
detected_rfids81111 rعدد إدخالات RFID
has_meter81121 r1 إذا تم تثبيت العداد (حيث تكون السجلات 8057-8068 قابلة للقراءة)، وإلا 0
s0_inputs81131 rالبت 0 = إدخال الحالة S0 1
البت 1 = إدخال الحالة S0 2
البت 8 = هل يُسمح بالتبديل إلى المرحلة/عند التوصيل؟ (1=نعم)
البت 9 = البت 10 صالح
بت 10 = 2. التتابع موجود (1=نعم، إذا كانت البتة 9=1)
البت 11 = يتم التحكم في التتابع 2 خارجيًا (انظر التسجيل 8088)
count_restart81202 rwعدد مرات إعادة تشغيل الجهاز